A16 con fuente de alimentación trasera «Super Power Rail».

Con la llegada de la primavera llega la lluvia, las flores y, en la industria tecnológica, la serie anual de simposios tecnológicos de TSMC. Con clientes repartidos por todo el mundo, la fundición taiwanesa ha adoptado una estrategia interesante para informar a sus clientes sobre sus fabulosos proyectos, organizando una serie de simposios desde Silicon Valley hasta Shanghai. El inicio de la serie cada año, y nos brinda nuestra primera mirada real a los planes de fundición actualizados de TSMC para los próximos años, es la parada de Santa Clara, donde ayer la compañía detalló varias tecnologías nuevas, que van desde los procesos de litografía más avanzados hasta obleas masivas. y opciones de envasado de chips a gran escala. Hoy publicamos varias historias basadas en las diferentes ofertas de TSMC, comenzando con el anuncio principal de TSMC: el nodo de prueba A16. Mientras tanto, para conocer el resto de las historias de nuestro simposio, asegúrese de consultar la lectura relacionada a continuación y vuelva a consultarla para ver más historias. En su parada en Silicon Valley, TSMC anunció su primera tecnología de proceso “clase angstrom”: A16. Tras un cambio en el programa de producción que supuso la eliminación de la tecnología Backside Power Delivery Network (BSPDN) del nodo N2P de TSMC, el nuevo nodo de producción de clase 1,6 nm será ahora el primer proceso en introducir BSPDN en el repertorio de la empresa de fabricación de chips de TSMC. Con la incorporación de capacidades de potencia trasera y otras mejoras, TSMC espera que el A16 ofrezca un rendimiento y una eficiencia energética significativamente mejores que el proceso de fabricación N2P de TSMC. Estará disponible para los clientes de TSMC a partir de la segunda mitad de 2026. TSMC A16: combinando GAAFET con entrega de energía trasera A alto nivel, la tecnología de proceso A16 de TSMC se basará en transistores de nanohojas de puerta completa (GAAFET) y contará con un riel de alimentación en la parte posterior, que mejorará la entrega de energía y aumentará moderadamente la densidad del transistor. En comparación con el proceso de fabricación N2P de TSMC, se espera que A16 ofrezca una mejora de rendimiento del 8% al 10% con el mismo voltaje y complejidad, o una reducción del 15% al ​​20% en el consumo de energía con la misma frecuencia y cantidad de transistores. TSMC no enumera métricas de densidad detalladas hasta este momento, pero la compañía dice que la densidad del chip aumentará de 1,07x a 1,10x, teniendo en cuenta que la densidad de los transistores depende en gran medida del tipo y las bibliotecas de transistores utilizados. La innovación clave del nodo A16 de TSMC es la red de distribución de energía trasera Super Power Rail (SPR), una novedad para TSMC. El fabricante de chips contratado dice que el SPR del A16 está diseñado específicamente para productos informáticos de alto rendimiento que presentan rutas de señal complejas y circuitos de potencia densos. Como se señaló anteriormente, con el anuncio de esta semana, el A16 ahora se ha convertido en el vehículo de lanzamiento de TSMC para la entrega de energía en la parte trasera. Inicialmente se esperaba que la compañía ofreciera tecnología BSPDN con N2P en 2026, pero por razones que no están del todo claras, la tecnología se trasladó de N2P a A16. El calendario oficial de TSMC para N2P en 2023 siempre ha sido un poco vago, por lo que es difícil decir si esto representa un retraso práctico para BSPDN en TSMC. Pero al mismo tiempo, es importante resaltar que A16 no será simplemente rebautizado como N2P, sino que será una tecnología distinta de N2P. TSMC no es la única fábrica que busca la entrega de potencia trasera y, como resultado, estamos viendo surgir múltiples variaciones de la técnica en diferentes fábricas. Toda la industria tiene tres enfoques para BSPDN: Buried Power Rail de Imec, PowerVia de Intel y ahora Super Power Rail de TSMC. La técnica más antigua, Buried Power Rail de Imec, esencialmente coloca la red eléctrica en la parte posterior de la oblea y luego conecta el riel de energía de la celda lógica al contacto de energía mediante nano TSV. Esto permite cierta ampliación del área y no agrega demasiada complejidad a la producción. La segunda implementación, PowerVia de Intel, conecta la alimentación a la celda o al contacto del transistor, lo que proporciona un mejor resultado, pero a expensas de la complejidad. Finalmente, tenemos la nueva tecnología BSPDN Super Power Rail de TSMC, que conecta una red de alimentación trasera directamente a la fuente y drenaje de cada transistor. Según TSMC, esta es la tecnología más eficiente en términos de escalamiento de área, pero la contrapartida es que es la más compleja (y cara) en términos de fabricación. El hecho de que TSMC optara por utilizar la versión más compleja de BSPDN puede ser una de las razones por las que la eliminamos de N2P, ya que su implementación en última instancia aumentará tanto el tiempo como el costo. Esto deja a A16 como el principal nodo de rendimiento de TSMC para el horizonte temporal 2026/2027, mientras que N2P puede posicionarse para ofrecer una combinación más equilibrada de rendimiento y rentabilidad. La era de Angstrom comienza a finales de 2026 con una nueva convención de nomenclatura de nodos Finalmente, al igual que con Intel, también estamos viendo a TSMC adoptar una nueva convención de nomenclatura de nodos de proceso a partir de esta generación de tecnología. El nombre en sí es en gran medida arbitrario, y este ya ha sido el caso en la industria fabulosa desde hace varios años, pero como los nombres de los nodos actuales ya constan de un solo dígito (por ejemplo, N2), la industria ha tenido que recalibrar los nombres de los nodos en algo más pequeño. que un nanómetro. Y así hemos llegado a la «Era Angstrom». Pero independientemente de cómo se llame exactamente o por qué se llama así, el punto importante es que el A16 será el nodo de próxima generación más allá de los productos de clase de 2 nm de TSMC. TSMC planea iniciar la producción en volumen del A16 en la segunda mitad de 2026, por lo que es probable que los primeros productos basados ​​en esta tecnología lleguen al mercado en 2027. Dado el momento, el nodo de producción presumiblemente competirá con el 14A de Intel; Aunque han pasado más de 2 años y nadie produce BSPDN en volumen hoy en día, todavía hay mucho tiempo para cambiar los planes y las hojas de ruta.

About Carlos Carraveo Jimenez

Check Also

Helldivers 2 – Del gran ascenso a la gran caída

Ahora hay miles y miles de juegos y la elección es casi infinita sobre lo …

Deja una respuesta

Tu dirección de correo electrónico no será publicada. Los campos obligatorios están marcados con *